spot  2.11.6
Functions
Transforming TGBA into TA

Functions

ta_explicit_ptr spot::tgba_to_ta (const const_twa_ptr &tgba_to_convert, bdd atomic_propositions_set, bool degeneralized=true, bool artificial_initial_state_mode=true, bool single_pass_emptiness_check=false, bool artificial_livelock_state_mode=false, bool no_livelock=false)
 Build a spot::ta_explicit* (TA) from an LTL formula. More...
 
tgta_explicit_ptr spot::tgba_to_tgta (const const_twa_ptr &tgba_to_convert, bdd atomic_propositions_set)
 Build a spot::tgta_explicit* (TGTA) from an LTL formula. More...
 

Detailed Description

Function Documentation

◆ tgba_to_ta()

ta_explicit_ptr spot::tgba_to_ta ( const const_twa_ptr &  tgba_to_convert,
bdd  atomic_propositions_set,
bool  degeneralized = true,
bool  artificial_initial_state_mode = true,
bool  single_pass_emptiness_check = false,
bool  artificial_livelock_state_mode = false,
bool  no_livelock = false 
)

#include <spot/taalgos/tgba2ta.hh>

Build a spot::ta_explicit* (TA) from an LTL formula.

This is based on [24] .

Parameters
tgba_to_convertThe TGBA automaton to convert into a TA automaton
atomic_propositions_setThe set of atomic propositions used in the input TGBA tgba_to_convert
degeneralizedWhen false, the returned automaton is a generalized form of TA, called GTA (Generalized Testing Automaton). Like TGBA, GTA use Generalized Büchi acceptance conditions intead of Buchi-accepting states: there are several acceptance sets (of transitions), and a path is accepted if it traverses at least one transition of each set infinitely often or if it contains a livelock-accepting cycle (like a TA). The spot emptiness check algorithm for TA (spot::ta_check::check) can also be used to check GTA.
artificial_initial_state_modeWhen set, the algorithm will build a TA automaton with a unique initial state. This artificial initial state have one transition to each real initial state, and this transition is labeled by the corresponding initial condition. (see spot::ta::get_artificial_initial_state())
single_pass_emptiness_checkWhen set, the product between the returned automaton and a kripke structure requires only the fist pass of the emptiness check algorithm (see the parameter disable_second_pass of the method spot::ta_check::check)
artificial_livelock_state_modeWhen set, the returned TA automaton is a STA (Single-pass Testing Automata): a STA automaton is a TA where: for every livelock-accepting state s, if s is not also a Buchi-accepting state, then s has no successors. A STA product requires only one-pass emptiness check algorithm (see spot::ta_check::check)
no_livelockwhen set, this disable the replacement of stuttering components by livelock states. Use this flag to demonstrate an intermediate step of the construction.
Returns
A spot::ta_explicit that recognizes the same language as the TGBA tgba_to_convert.

◆ tgba_to_tgta()

tgta_explicit_ptr spot::tgba_to_tgta ( const const_twa_ptr &  tgba_to_convert,
bdd  atomic_propositions_set 
)

#include <spot/taalgos/tgba2ta.hh>

Build a spot::tgta_explicit* (TGTA) from an LTL formula.

Parameters
tgba_to_convertThe TGBA automaton to convert into a TGTA automaton
atomic_propositions_setThe set of atomic propositions used in the input TGBA tgba_to_convert
Returns
A spot::tgta_explicit (spot::tgta) that recognizes the same language as the TGBA tgba_to_convert.

Please direct any question, comment, or bug report to the Spot mailing list at spot@lrde.epita.fr.
Generated on Fri Feb 27 2015 10:00:07 for spot by doxygen 1.9.1